¿Cómo funciona este pestillo SR?

Al principio, tengo que decir, «no estoy en Ingeniería Eléctrica, estoy estudiando Fundamentos de Computación, y esta pregunta apareció, después de un mientras lo consideraba, decidí publicarlo aquí.

Encontré algunos temas «bastante similares» cuando publiqué esto, pero no puedo entenderlo en absoluto. ¡Quizás porque el OP y los proveedores de respuestas están hablando como ingenieros eléctricos!

Yo puede entender puertas lógicas básicas y flip-flop básico

Esta es la pregunta:

La figura muestra un flip-flop RS usando dos puertas NOR. ¿Cuál de las siguientes es la tabla de verdad correcta para el flip-flop? Aquí, «sin cambios» que se muestra en la tabla significa que las salidas mantienen un estado anterior, y «inestable» significa que las salidas están en un estado inestable.

ingrese la descripción de la imagen aquí

ingrese descripción de la imagen aquí

Esta es la tabla de verdad que encontré en Internet, que indica que a es la respuesta correcta:

ingrese la descripción de la imagen aquí

Lo que no entiendo aquí es por qué Q = 0 y Q = 1 cuando S = 0, R = 1 y S = 1, R = 0 respe ctivamente, de acuerdo con la tabla de verdad de la puerta NOR, Q debería ser 0 y 0?

ingrese la descripción de la imagen aquí

¿Y cómo podemos determinar que Q será «sin cambios» o «inestable»? Creo que hay una explicación clara para que personas como yo puedan entenderlo, ¡no solo los ingenieros!

Respuesta

Restablecer pin en alto hace que la salida vaya a cero.

Establecer pin en alto hace que la salida vaya a uno.

Esta es la función de un SR (Set-Reset) -Flip Flop, que actúa como una memoria de un solo bit «. Bloquean sus salidas debido a las puertas interconectadas, como puede ver en el primer diagrama.

No pasa nada con la salida cuando las entradas no se cambian. Suceden cosas malas / extrañas cuando ambas entradas se cambian al mismo tiempo a un estado BAJO. Los circuitos diseñados con estos pueden tener efectos extraños si no se toman medidas cuidadosas para evitar las condiciones de carrera o los retrasos del reloj (si son flip flops SR sincronizados) / puertas que causan entradas simultáneas de 0 en S y R.

La verdad La tabla de la puerta NOR es importante porque muestra cómo interactúan las dos partes del SR Flip Flop: las salidas de la puerta NOR se alimentan a las entradas de la otra, lo que le da el efecto de bloqueo de la salida.

Puede poner las entradas S y R en ALTO al mismo tiempo si lo desea, pero no se ajusta a la teoría digital de las salidas «Q y NO Q», por lo que normalmente no es aceptable y se llama «ilegal» en las tablas de verdad.

Suceden cosas malas con ambas entradas configuradas bajas, si ambas entradas estaban previamente altas, debido a los retrasos de las puertas NOR. Esto puede causar oscilaciones de la salida debido a la retroalimentación en el circuito.

Puedes leer más sobre estas condiciones de carrera en aquí y aquí

Comentarios

  • @hoangnnm Q de cada puerta NOR se adjunta a la entrada de la otra NOR puerta, lo que significa que el estado de un ‘ influye en el estado del otro ‘. El resultado de este cruce de entradas / salidas le da un enclavamiento Salida ON, o una salida OFF enclavada, y solo cambia de estado cuando los pines S o R están configurados apropiadamente
  • @hoangnnm La salida del pestillo no estará definida en las condiciones iniciales. La salida del pestillo aumentará cuando el pin S se eleve. La salida Q permanecerá alta para siempre, a menos que se cambie. La salida irá a cero si el pin R está alto. La salida permanecerá en cero para siempre a menos que se cambie. Es una salida lógica indefinida (podría ir en cualquier dirección) si ambos pines se activan en alto al mismo tiempo. Esto permite que el dispositivo actúe como un » pestillo » que, cuando se configura, permanece así hasta que se restablece. Si la salida ya es cero, hacer que el pin R esté alto no hará nada. Igual que el pin S y la salida
  • @hoangnnm, cada puerta NOR tiene su ‘ valor Q propio, pero cuando se mira el pestillo SR final tiene un Q general valor (salida). Q simplemente se establece o restablece, dependiendo de cómo aplique las entradas al dispositivo
  • @KyranF: si ambas entradas de un pestillo OR se activan en alto, ambas salidas se reducirán y permanecerán bajas durante el tiempo que sea necesario Ambas entradas son altas, por lo que las salidas no están indefinidas, ‘ están claramente definidas.
  • Retrasos en las puertas, sincronización imperfecta, el hecho de que hay 4 entradas a las puertas NOR en un pestillo SR, significa que esto realmente no sucederá @EMfields

Respuesta

ALGUNOS ANTECEDENTES …

Mirando el gráfico, a continuación, la imagen inferior muestra el símbolo lógico de una puerta NOR verdadera positiva convencional, y la imagen superior muestra el símbolo de su el llamado equivalente de DeMorgan, un Y verdadero negativo.

ingrese la descripción de la imagen aquí

La tabla de verdad muestra que son equivalentes, lógicamente, y la manera más fácil – para mí – de relacionarme con ellos es considerar la parte de entrada de línea recta de la puerta superior para denotar «Y», y la línea curva de la inferior para denotar «O».

De esa manera, dado que la puerta superior es un Y y se muestra con dos burbujas como entradas, dice: «dos ceros hacen uno», y la puerta inferior, que es un NOR, dice: » cualquiera hace un cero «.

AHORA, EN EL FRAY:

Aquí «un pestillo NOR y su tabla de verdad:

ingrese la descripción de la imagen aquí

y observe los estados de entrada y salida de un par de NOR configurado como un pestillo, tenemos:

ingrese la descripción de la imagen aquí

Donde «A» es el pestillo básico y donde el rojo indica una lógica alta y azul indica una lógica baja.

Refiriéndonos a «B» y examinando la tabla de verdad de NOR, encontramos que si R es alta (un 1 lógico), entonces, independientemente del estado de U1-2, Q debe ser bajo .

Lo mismo es cierto para U2, con el resultado siendo que si R y S se mantienen altos, Q y notQ deben permanecer bajos – y por lo tanto estables – hasta que R, S, o ambos cambian de estado.

Si reconstruimos el pestillo usando el equivalente de DeMorgan para U1, «tendremos» C «, y dado que U1-1 (R) y U2-2 (S) todavía se mantienen altos, U1-3 (Q) y U2-3 (notQ) permanecerán bajos, por lo que no se cambiará nada lógicamente.

En «D», ahora forzamos U1-1 bajo mientras dejamos U2-2 alto, lo que conducirá Q alto y SET el pestillo, y dado que las entradas de U1 ahora son bajas, su salida vaya alto y fuerce U2-1 alto asegurando que el pestillo permanecerá AJUSTADO sin importar lo que haga U2-2.

En «E», U2-2 baja pero como U2-1 está alto, el pestillo permanecerá COLOCAR. Es importante notar que con «R» y «S» en posición baja y el pestillo SET, el pestillo es estable y en uno de sus estados inactivos.

En «F», «R» es impulsado alto, lo que fuerza a «Q» bajo, y dado que «S» ya es bajo, U2-1 baja fuerza no Q alto, reiniciando el pestillo y conduciendo U1-2 alto, haciendo que el estado de «R» no sea importante.

En «G», «R» ha vuelto a su estado inactivo bajo (haciendo que «R» y «S» sean ambos bajos), el pestillo es estable en su estado RESET, (como lo estaba en su estado SET con «R» y «S» ambos bajos) y está esperando que «S» suba, como en «D», para volver a CONFIGURARLO.

Dos advertencias:

1 ) Si el pestillo se enciende con sus entradas no flotantes pero sin haber sido inicializadas expresamente, puede aparecer SET o RESET, o con ambas salidas bajas o momentáneamente altas, pero resolverá los estados inestables y asentarse en lo que dicten sus entradas.

2) Si ambas entradas se toman altas durante el tiempo suficiente para conducir ambas salidas a bajas y luego ambas entradas se toman bajas simultáneamente Inmediatamente, si el pestillo se asentará en su estado SET o RESET es indeterminado, como se muestra en la tabla de verdad del pestillo, arriba.

Comentarios

  • Gracias, esto es muy informativo, por favor dénmelo a veces para entenderlo completamente, yo ‘ me pondré en contacto con usted lo antes posible.

Responder

Como individuo también sin experiencia en EE, entiendo sinceramente tu frustración de que «encontré algunos » temas » bastante similares al publicar esto, pero» no puedo entender nada «, porque ¡Yo estaba en el mismo barco! Tienes razón al creer que debería haber una explicación más sencilla que tenga más sentido para las personas que no son ingenieros. Si prefieres los videos a los textos, aquí tienes un video de 10 minutos que explica la esencia de los pestillos y por qué funcionan como unidad de memoria: https://youtu.be/JavcdC_msts

El truco consiste en alejarse de la verdad -tabla a través de puertas lógicas que se acercan desde su componente físico: transistor – interruptores controlados por electricidad. Aplique alto voltaje, Convertirse en un conductor, aplicarle bajo voltaje, es un aislante. Existe otro tipo de transistor, pero para simplificar, solo usamos la entrada de alto voltaje – > conductor, entrada de bajo voltaje – > aislante como ejemplo.

Solo hay dos formas de conectar dos interruptores: conectarlos entre sí como puerta NAND, AND, o conectarlos de forma independiente a la línea de alimentación como puerta NOR, OR: ingrese la descripción de la imagen aquí

Lo que distingue a NAND de AND, NOR de OR es dónde tomar la salida: más cerca de alta extremo de voltaje o tierra, antes o después de la resistencia. Por ejemplo, la puerta NOR sale naturalmente desde más cerca del extremo de alto voltaje y por debajo de la resistencia, de modo que cuando ambos transistores están apagados, la salida se conecta al extremo de alto voltaje, mostrando un alto o «1», puede decir. Cuando CUALQUIER transistor esté en conducción, la salida se conectará al extremo de bajo voltaje, por lo que se mostrará un valor bajo o 0:

ingrese la descripción de la imagen aquí

Con estas conexiones físicas en mente, es muy fácil proceder SIN tablas de verdad. El problema con la estructura del pestillo es que dos puertas lógicas se alimentan entre sí, por lo que solo hay dos entradas controlables externamente. Por lo tanto, si comienza desde la tabla de verdad, terminará con un problema del huevo y la gallina: para conocer la entrada, debe conocer la salida que proviene de la entrada …

Pero hay Hay dos tipos de entradas: entrada que puede determinar totalmente la salida por sí misma para que podamos ignorar cuál es la otra entrada. Para la puerta NOR, la salida está determinada por CUALQUIERA de las dos rutas, siempre que una sea conductora, se determinará la salida. Por lo tanto, un alto voltaje es suficiente para determinar la salida de una puerta NOR:

ingrese la descripción de la imagen aquí

Esto nos permite una dirección definida para acercarnos a la estructura del pestillo: ya sea que tengamos un par de entrada de (1,0) o (0,1), siempre comience desde el lado 1, porque forzará una salida definida. Por ejemplo, si tenemos (alto, bajo) o (1,0): ingrese la descripción de la imagen aquí

Si el (1,0) cambia a (0, 1), los pasos anteriores simplemente cambian de posición.

Dejé un por qué en el paso 4, preguntando por qué deberíamos queremos retroalimentarnos de esta manera, nos ayudará a almacenar un poco, como veremos más adelante.

Si alimentamos (0,0) o (bajo, bajo) a ambas puertas, entonces hay dos posibilidades:

ingrese la descripción de la imagen aquí

Porque NOR La puerta naturalmente tiene una salida alta, ya que está conectada al extremo de alto voltaje de forma natural, ambas salidas viajarán al lado de entrada de la otra puerta, no hay garantía de que lleguen a ambas puertas al mismo tiempo. ¡Cualquiera que viaje más rápido apagará la salida de la otra puerta! Por eso (0,0) es inestable. Entonces, para usar el pestillo NOR como dispositivo de memoria, tenemos que asegurarnos de que la carcasa inestable nunca aparezca. El truco consiste en conectar dos puertas de control al pestillo:

ingrese la descripción de la imagen aquí

De esta manera, ya sea que la entrada sea alta o baja, siempre puede pasar al lado de la salida, de modo que siempre podemos escribir datos cuando el control está activado. ¡La retroalimentación es prepararse para bloquear los datos cuando apaguemos el control! Para almacenar los datos, tenemos que evitar que los cambios de entrada afecten a las salidas. Esto se logra desactivando el control: ingrese la descripción de la imagen aquí

Entonces ( 1,0) o (0,1) cambiará al caso (0,0), verá por qué (0,0) conducirá a resultados sin cambios: porque solo un lado verá un cambio de señal de alto a bajo, pero ¡No importa, ya que tiene un respaldo para sostener el bucle! Porque la puerta AND tendrá una salida baja si una entrada es baja, por lo tanto, superará a la entrada. De modo que, sin embargo, los cambios de entrada no afectarán la salida ahora.

Comentarios

  • Por favor, proporcione enlaces o citas a las fuentes originales de los gráficos que copió en tu respuesta. Necesitamos asegurarnos de que el creador reciba crédito por ellos.
  • Gracias por tu comentario. Soy el creador original, supongo que no es necesario citarlo.
  • Sí, si tu eres el creador no hace falta citar pero gracias, y bien hecho, por los dibujos.
  • @ShawLee Muchas gracias, por tu respuesta. Han pasado 6 años, y pasé el examen sin cualquier pregunta relacionada con esto (gracias a Dios). Honestamente, ahora no ‘ ni siquiera recuerdo nada de esto :(. Espero que alguien más encuentre esto útil. Y una vez más, gracias por todos los problemas que tuvo para brindar esta excelente respuesta. ¡Que tenga un buen día!

Deja una respuesta

Tu dirección de correo electrónico no será publicada. Los campos obligatorios están marcados con *